Safety is one of the key rules for several application domains like automotive, avionics and the generally called Mission Critical applications. Over the past few years, a plethora of complex systems capable of executing smart applications were introduced in Edge Computing nodes, many of those require the availability of large amounts of data and computational resources, as some advanced AI edge devices rely on many integrated accelerated vector coprocessors that perform ML or DSP applications. On the other hand, safety being a key requirement mandates that the system be fault tolerant. In this paper, we present a comprehensive investigation about the integration of a configurable vector acceleration unit in a fault tolerant RISC-V soft core, introducing a redundant vector coprocessor suitable for all safety critical domains.

Analysis of a Fault Tolerant Edge-Computing Microarchitecture Exploiting Vector Acceleration / Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro. - (2022), pp. 237-240. (Intervento presentato al convegno 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) tenutosi a Villasimius, SU, Italy) [10.1109/prime55000.2022.9816771].

Analysis of a Fault Tolerant Edge-Computing Microarchitecture Exploiting Vector Acceleration

Marcello Barbirotta
Primo
Writing – Original Draft Preparation
;
Abdallah Cheikh
Secondo
Conceptualization
;
Antonio Mastrandrea
Penultimo
Validation
;
Francesco Menichelli
Membro del Collaboration Group
;
Mauro Olivieri
Ultimo
Project Administration
2022

Abstract

Safety is one of the key rules for several application domains like automotive, avionics and the generally called Mission Critical applications. Over the past few years, a plethora of complex systems capable of executing smart applications were introduced in Edge Computing nodes, many of those require the availability of large amounts of data and computational resources, as some advanced AI edge devices rely on many integrated accelerated vector coprocessors that perform ML or DSP applications. On the other hand, safety being a key requirement mandates that the system be fault tolerant. In this paper, we present a comprehensive investigation about the integration of a configurable vector acceleration unit in a fault tolerant RISC-V soft core, introducing a redundant vector coprocessor suitable for all safety critical domains.
2022
2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME)
fault tolerance; fault injection; computer architecture; IMT cores; edge computing; hardware acceleration
04 Pubblicazione in atti di convegno::04b Atto di convegno in volume
Analysis of a Fault Tolerant Edge-Computing Microarchitecture Exploiting Vector Acceleration / Barbirotta, Marcello; Cheikh, Abdallah; Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro. - (2022), pp. 237-240. (Intervento presentato al convegno 2022 17th Conference on Ph.D Research in Microelectronics and Electronics (PRIME) tenutosi a Villasimius, SU, Italy) [10.1109/prime55000.2022.9816771].
File allegati a questo prodotto
File Dimensione Formato  
Barbirotta_analysis_2022.pdf

solo gestori archivio

Tipologia: Versione editoriale (versione pubblicata con il layout dell'editore)
Licenza: Tutti i diritti riservati (All rights reserved)
Dimensione 469.37 kB
Formato Adobe PDF
469.37 kB Adobe PDF   Contatta l'autore

I documenti in IRIS sono protetti da copyright e tutti i diritti sono riservati, salvo diversa indicazione.

Utilizza questo identificativo per citare o creare un link a questo documento: https://hdl.handle.net/11573/1669450
Citazioni
  • ???jsp.display-item.citation.pmc??? ND
  • Scopus 7
  • ???jsp.display-item.citation.isi??? 0
social impact