Sfoglia per Autore  

Opzioni
Mostrati risultati da 1 a 20 di 56
Titolo Data di pubblicazione Autore(i) File
A flexible SystemC simulator for multiprocessor systems-on-chip 2002 Menichelli, Francesco; D., Bertozzi; L., Benini; Olivieri, Mauro
A post-compiler approach to scratchpad mapping of code 2004 Angiolini, Federico; Menichelli, Francesco; Ferrero, Alberto; Benini, Luca; Olivieri, Mauro
A simulation-based power-aware architecture exploration of a multiprocessor system-on-chip design 2004 Menichelli, Francesco; Olivieri, Mauro; L., Benini; M., Donno; L., Bisdounis
A class of code compression schemes for reducing power consumption in embedded microprocessor systems 2004 L., Benini; Menichelli, Francesco; Olivieri, Mauro
MPARM: Exploring the multi-processor SoC design space with SystemC 2005 Menichelli, Francesco; D., Bertozzi; Luca, Benini; Olivieri, Mauro; Alessandro, Bogliolo
Software Optimization of the JPEG2000 Algorithm on a VLIW CPU Core for System-on-Chip Implementation 2005 Menichelli, Francesco; Olivieri, Mauro; Smorfa, Simone
Modellazione e simulazione ad alto livello di attacchi a sistemi digitali integrati per applicazioni crittografiche 2006 Menichelli, F.; Menicocci, R.; Olivieri, Mauro; Trifiletti, A.; Marietti, Piero
Side channel analysis resistant design flow 2006 Aigner, M; Mangard, S.; Menichelli, Francesco; Menicocci, Renato; Olivieri, Mauro; Popp, T.; Scotti, Giuseppe; Trifiletti, Alessandro
Results on Leakage Power Management in Scratchpad-­based Embedded System 2007 Menichelli, Francesco; Olivieri, Mauro
Testing power-analysis attack susceptibility in Register Transfer Level designs 2007 Bucci, M; Luzzi, R; Menichelli, Francesco; Menicocci, R; Olivieri, Mauro; Trifiletti, Alessandro
High level side channel attack modeling and simulation for security-critical systems-on-chips 2008 Menichelli, Francesco; Menicocci, Renato; Olivieri, Mauro; Trifiletti, Alessandro
Static minimization of total energy consumption in memory subsystem for scratchpad-based systems-on-chips 2009 Menichelli, Francesco; Olivieri, Mauro
Tiktak: A scalable simulator of wireless sensor networks including hardware/software interaction 2010 Menichelli, Francesco; Olivieri, Mauro
Contributions in evaluating the statistical impact of technology variations on delay and power dissipation of logic cells 2010 Olivieri, Mauro; Menichelli, Francesco; Mastrandrea, Antonio; Nenzi, Paolo
A delay model allowing nano-CMOS standard cells statistical simulation at the logic level 2011 Mastrandrea, Antonio; Menichelli, Francesco; Olivieri, Mauro
Performance evaluation of Jpeg2000 implementation on VLIW cores, SIMD cores and multi-cores 2011 Menichelli, Francesco; Olivieri, Mauro; Smorfa, Simone
SystemC based Simulator for Virtual Prototyping of Large Scale Distributed Embedded Control Systems 2012 A., Ferrari; M., Carloni; A., Mignogna; Menichelli, Francesco; D., Ginsberg; E., Scholte; D., Nguyen
Scalable virtual prototyping of distributed embedded control in a modern elevator system 2012 D., Ginsberg; A., Mignogna; M., Carloni; Menichelli, Francesco; A., Ferrari; D., Nguyen; E., Scholte
Delay-tolerant, low-power protocols for large security-critical wireless sensor networks 2012 Malavenda, CLAUDIO SANTO; Menichelli, Francesco; Olivieri, Mauro
A regulation-based security evaluation method for data link in wireless sensor network 2014 Malavenda, CLAUDIO SANTO; Menichelli, Francesco; Olivieri, Mauro
Mostrati risultati da 1 a 20 di 56
Legenda icone

  •  file ad accesso aperto
  •  file disponibili sulla rete interna
  •  file disponibili agli utenti autorizzati
  •  file disponibili solo agli amministratori
  •  file sotto embargo
  •  nessun file disponibile